Hello Guest

Sign In / Register

Welcome,{$name}!

/ 로그 아웃
한국의
EnglishDeutschItaliaFrançais한국의русскийSvenskaNederlandespañolPortuguêspolskiSuomiGaeilgeSlovenskáSlovenijaČeštinaMelayuMagyarországHrvatskaDanskromânescIndonesiaΕλλάδαБългарски езикGalegolietuviųMaoriRepublika e ShqipërisëالعربيةአማርኛAzərbaycanEesti VabariikEuskera‎БеларусьLëtzebuergeschAyitiAfrikaansBosnaíslenskaCambodiaမြန်မာМонголулсМакедонскиmalaɡasʲພາສາລາວKurdîსაქართველოIsiXhosaفارسیisiZuluPilipinoසිංහලTürk diliTiếng ViệtहिंदीТоҷикӣاردوภาษาไทยO'zbekKongeriketবাংলা ভাষারChicheŵaSamoaSesothoCрпскиKiswahiliУкраїнаनेपालीעִבְרִיתپښتوКыргыз тилиҚазақшаCatalàCorsaLatviešuHausaગુજરાતીಕನ್ನಡkannaḍaमराठी
> 메시지 > 주변 반도체 장비에 대한 EUV 경쟁

주변 반도체 장비에 대한 EUV 경쟁

차세대 반도체 제조 기술인 "EUV (Extreme Ultraviolet Light)"를 둘러싼 장비 회사 간의 경쟁이 심화되었습니다. 도쿄 전자는 2020 회계 연도 (2021 년 3 월 기준)에 기록적인 높은 개발 비용을 투자 할 것이며 Lasertec의 주문서는 지난해 두 배가되었습니다. EUV 관련 장비 시장에서 네덜란드 ASML은 핵심 리소그래피 기계를 독점하지만 검사 및 광원 분야에서 일본 기업의 존재도 개선되고 있습니다.

세계에서 세 번째로 큰 반도체 제조 장비 제조업체 인 도쿄 일렉트로닉스의 Toshiki Kawai는“EUV가 대중화되면 고급 장비에 대한 수요가 증가 할 것”이라고 말했다. 2020 회계 연도에는 연구 개발 비용에 1,300 억 엔을 기록 할 것입니다. .


도쿄 일렉트로닉스의 장점은 "코터 개발자"입니다. 이 장비는 실리콘 웨이퍼에 특수 화학 액체를 반도체 재료로 코팅하여 개발하는 데 사용됩니다. EUV 양산 장비 분야에서 회사의 시장 점유율은 100 %입니다. 이 회계 연도의 매출액은 1.28 조 엔에이를 것으로 예상됩니다. 이 중 10 % 이상이 EUV 대중화 단계에서 최첨단을 강화하기 위해 연구 개발에 사용될 것입니다.

연간 6 조 엔 이상의 반도체 제조 장비 시장에서 세대가 바뀌고 있습니다.

반도체의 회로 라인 폭이 더 미세할수록 성능이 향상되며 현재 최첨단 제품은 5 나노 미터입니다. 이러한 얇은 회로를 실리콘 웨이퍼로 전달하기 위해, EUV 리소그래피 기계는 필수적이다. 세계에서 유일하게 대량 생산 된 EUV 방법 인 ASML의 공급이 증가함에 따라 코팅 및 광원과 같은 주변 장비를 포함한 개발 경쟁이 시작되었습니다.

세대 변화의 상징은 테스트 장비 제조업체 인 Lasertec입니다. 원래의 회로 기판으로서 포토 마스크에 결함이있는 경우, 반도체의 결함률은 그에 따라 증가 할 것이다. 이 회사는 EUV를 지원하는 테스트 장비를 생산하고 있으며 2019 년 7 월부터 2020 년 3 월까지의 주문이 작년 같은 기간보다 2.2 배 증가하여 630 억 엔에 도달했습니다. 연간 주문의 3 분의 2는 EUV 관련 일 것으로 예상됩니다.

또한 일본 기업 간의 치열한 대립도 진행되고 있습니다. 전자 빔 마스크 필기 기계 분야에서 도시바의 NuFlare Technology는 JEOL과 IMS NANOFABRICATION (오스트리아) 사이의 제휴를 따라 잡고있다. 260,000 개의 레이저 빔을 사용하는 "멀티 빔"기술 개발에 중점을두고 있습니다.

1 월에 도시바는 적대적 TOB (공개 입찰 제안)를 시작한 HOYA를 철회하고 Niu Fulai에 대한 통제를 강화했다. 새로 파견 된 25 명의 개발 기술자 등이 2020 년 내에 EUV를 지원하는 차세대 리소그래피 장비를 공급할 계획입니다.

레이저 소스를 생산하는 코마츠의 자회사 인 기가 포톤 (도치 기현 오야마시에 위치)은 컴백을 기대하고 있습니다. EUV가 출현하기 전에이 회사는 리소그래피 기계 용 광원 분야에서 상위 2 개 중 하나가되었습니다. 그러나 ASML에 의한 경쟁사 인수와 같은 이유로 인해 현재는 그 위치를 잃고 있습니다. Gigaphoton은 ASML이 시장 점유율을 다시 확보하기 위해 EUV 차세대 장비를 출시하기 전에 고출력 광원 구성 요소를 개발하기 위해 노력하고 있습니다.

기업들이 EUV 장비 개발을 가속화 할 수있는 배경은 한국의 삼성 전자와 TSMC가 시작한 소형화 경쟁입니다. 5G와 같은 고성능 반도체에 대한 수요는 강력하며 두 회사는 200 억 엔 이상의 ASML 리소그래피 기계마다 경쟁하고 있습니다. 이 과정에서 주변 제조 장비 회사의 비즈니스 기회도 확대되고 있습니다.

SEMI (International Semiconductor Equipment and Materials Association) 및 SEAJ (Semiconductor Manufacturing Equipment Association of Japan)의 통계에 따르면 일본에서 제조 된 반도체 제조 장비의 시장 점유율은 2019 년 31.3 %로 과거 30 % 근처에있었습니다. 20 년.

리소그래피 장비 분야에서 Nikon과 Canon은 이전에 세계 시장을 휩쓸었지만 ASML과의 경쟁에서 실패했으며 EUV 개발에서 뒤쳐졌습니다. 반도체 분야에서, 제조 공정이 어려워 짐에 따라 승자 모두의 경향이 증가하고있다. EUV를 기회로 삼는 세대 변화는 또한 장비 회사에서 가장 적합한 생존을 가속화 할 것입니다.

EUV 관련 부품 및 부품 회사의 책임자는 "본토 시장에서 최첨단 장비에 대한 투자가 중단되었습니다." 네덜란드 정부는이를 ​​승인하지 않았기 때문에 ASML은 EUV 리소그래피 기계를 본토로 수출 할 수 없었습니다. 또한 주변 기기 및 부품 구매도 중단됩니다.

중국과 미국 사이에는 무역 마찰이있다. ASML 장비를 수입 할 수없는 경우, 본토 반도체 제조업체는 소형화 경쟁에서 뒤쳐 질 것입니다. 본토 정부는 반도체 자급률이 2020 년까지 40 %, 2025 년까지 70 %에 도달 할 것이라는 목표를 제시하지만, 달성하기는 어렵다. 많은 견해에 따르면 미국은 네덜란드 정부를 제재의 무기로 사용하도록 압력을가했다고 생각합니다.

국제 반도체 장비 및 재료 협회 (International Semiconductor Equipment and Materials Association)의 통계에 따르면 2019 년 반도체 제조 장비 시장은 597 억 달러로 2014 년에 비해 59 % 증가했습니다.이 기간 동안 본토 시장의 존재와 세계 점유율은 증가했습니다. 전체 시장은 2014 년 11.6 %에서 22.5 %로 증가했습니다. 일본 반도체 제조 장비 회사의 경우, 본토는 무시하기 어려운 시장이되었습니다.

EUV 기술 개발이 어렵고 모든 회사의 R & D 비용이 증가하고 있습니다. 시장이 더 이상 확장되지 않으면 기업의 투자 수익이 지연되고 새로운 기술의 발전이 어려워 질 수 있습니다.